Préparation et simulation des modèles VHDL

Éditeurs

N’importe quel éditeur de programmation peut être utilisé pour éditer les modèles VHDL. Certains simulateurs comportent un éditeur intégré. Il peut être avantageux d’utiliser un éditeur avec fonction de surlignage syntaxique pour le langage.

Simulateurs gratuits

Voici quelques simulateurs gratuits.

Modelsim/Questa

Des versions de ce simulateur sont offertes par plusieurs fabricants de circuits intégrés programmables:

Intel:

FPGA Software Download Center

  • Fonctionne sous Windows ou Linux (Red Hat ou Ubuntu)
  • Un des simulateurs les plus populaires
  • L’utilisation requiert une licence (gratuite) spécifique à un ordinateur donné, qu’il faut demander par courriel
  • L’installation et l’activation comportent plusieurs étapes

Lattice:

iCEcube2 Design Software

  • Fonctionne sous Windows ou Linux (Red Hat)
  • Fait partie d’une suite logicielle en support à la gamme de FPGA du fabricant
  • L’utilisation requiert une licence (gratuite) spécifique à un ordinateur donné

Microchip:

Libero SoC Design Suite

  • Fonctionne sous Windows ou Linux (Red Hat)
  • Fait partie d’un suite logicielle (Libero) en support à la gamme de FPGA du fabricant
  • L’utilisation requiert une licence (gratuite) spécifique à un ordinateur donné

Active-HDL (version étudiante)

Free Active-HDL Student Edition

  • Fonctionne sous Windows
  • Licence gratuite pour la communauté étudiante doit indiquer son université)
  • L’inscription donne accès à une page de téléchargement

Vivado (Xilinx)

Xilinx téléchargements

  • Fonctionne sous Windows ou Linux (Red Hat ou Ubuntu)
  • Cette suite pour conception de circuits intégrés programmables comporte un simulateur
  • L’utilisation requiert une licence (gratuite) spécifique à un ordinateur donné

GHDL/ GTKWave

http://ghdl.free.fr/

https://github.com/ghdl/ghdl

http://gtkwave.sourceforge.net/

https://github.com/gtkwave/gtkwave

Ces deux logiciels sont à code source ouvert, donc entièrement gratuits.

  • Fonctionnent sous Windows, Mac ou Linux
  • GHDL est utilisé pour la simulation
  • GTKWave est utilisé pour visualiser les résultats

EDA Playground

EDA Playground

  • Cette option, utilisable via un fureteur Web, ne nécessite pas d’installation et peut donc s’utiliser sur toutes les plateformes
  • On doit s’enregistrer
  • Il est possible de choisir le simulateur
  • On y trouve également des exemples de codes

Sous-module précédent: